Re: PyNGL/PyNIO installation problems

From: Schwedler, Benjamin <bschwedl_at_nyahnyahspammersnyahnyah>
Date: Fri Oct 01 2010 - 19:32:04 MDT

Mary,

I apologize for not getting back to you about this, I have needed to
work on other projects so have not had time to give this install attention.
In the meantime, however, two of the cluster engineers have been able to
build PyNGL. As mentioned in the previous email, the problem seems to
be the version of gcc. Then went ahead and build the following packages
with gcc-4.1.2
Python 2.7
NetCDF 4.1.1
HDF 4.2.5

They were able to link to these builds, and we can now successfully
import both Ngl and Nio, and have access to all of the functions.
Thank you for your suggestions. The issue now seems to be resolved on
our end.

Cheers,

Ben

On 09/16/2010 12:59 PM, Mary Haley wrote:
> Hi Ben,
>
> I'm sorry you're having problems. Would you be able to send me an
> output file that shows any compilation or link error messages that
> you're getting with PyNIO?
>
> Thanks,
>
> --Mary
>
> On Sep 15, 2010, at 6:17 AM, Schwedler, Benjamin wrote:
>
>> Mary and Dave,
>>
>> Thanks for your suggestions.
>> We have been trying to build this from source. We have been able to
>> get PyNgl to build properly. The problem seems to be that both
>> Python 2.5.2 and 2.6.5 were build with gcc-4.4.0. We have now built
>> Python 2.7 with gcc-4.1.2, and numpy-2.0.0.dev
>>
>> We are still having trouble with getting PyNio to compile correctly
>> with this version of python. I know that there seem to be a couple
>> library problems related to zlib, HDF, and NetCDF, but I don't know
>> exactly what versions of these are being used currently since the
>> staff is trying to get the libraries to link properly. I do know
>> that when we tried to build this on Python 2.5/2.6 the HDF4 library
>> we were trying to use was HDF4.2R4. Previously, I think the build
>> for 2.5/2.6 was also linked to the NetCDF header file included with
>> CDAT-5.2 from LLNL because this resolved some missing references like
>> NC_CLASSIC_MODEL.
>>
>> As mentioned previously, here is the system information
>> $ uname -a
>> Linux coates-fe03.rcac.purdue.edu
>> <http://coates-fe03.rcac.purdue.edu> 2.6.18-194.3.1.el5rcac1 #1 SMP
>> Sun May 16 16:03:15 EDT 2010 x86_64 x86_64 x86_64 GNU/Linux
>>
>> I will try to keep you updated with the progress, and any additional
>> suggestions are welcome.
>>
>> Thanks,
>>
>> Ben
>>
>> On 09/09/2010 07:30 AM, Mary Haley wrote:
>>> Hi Ben,
>>>
>>> To follow-up on Dave's comments about PyNGL: if you are building from source code, you can also help the PyNGL installation find libgfortran.{a,so} by setting the F2CLIBS_PREFIX environment variable.
>>>
>>> See:
>>>
>>> http://www.pyngl.ucar.edu/Download/build_pyngl_from_src.shtml#SetPyNGLEnvVars
>>>
>>> for more information.
>>>
>>> If you are installing from a precompiled binary, then Dave's suggestion should help if you already have libgfortran installed.
>>> You might be able to find it with the "locate" command:
>>>
>>> locate libgfortran
>>>
>>> If you are still having problems, then please email us with more information, like whether you're building from source or using a precompiled binary, and what kind of system you have (uname -a).
>>>
>>> --Mary
>>>
>>> On Sep 8, 2010, at 3:37 PM, David Brown wrote:
>>>
>>>
>>>> I am not sure what is going on here, but it looks like there are
>>>> different problems for PyNIO and PyNGL. Do you know if PyNIO was build
>>>> from source or installed as a binary? This PyNIO problem looks like it
>>>> related to the HDF4 library. Normally if the HDF4 library is build
>>>> according to the instructions onhttp://www.pyngl.ucar.edu/Download/build_pynio_from_src.shtml
>>>> it will be compiled statically. Your version seems to be linked with
>>>> a shared version of the HDF library (called libmfhdf.so.0). It is
>>>> possible that you could get this to work by setting LD_LIBRARY_PATH to
>>>> the location of libmfhdf.so.0. But we always recommend that libraries
>>>> be linked statically as much as possible.
>>>>
>>>> PyNGL does not seem to be able to find the gfortran library. This
>>>> might also be fixed by setting LD_LIBRARY_PATH.
>>>>
>>>> Just as a general point, just because the build and install complete
>>>> without erros, that does not necessarily indicate a successful
>>>> install. Because the nio.so shared object is loaded dynamically, it is
>>>> impossible to tell if the build was successful until you can import
>>>> the module and use it. You will only have a pretty good idea the
>>>> install was successful if you can run the test scripts.
>>>> -dave
>>>>
>>>>
>>>> On Sep 3, 2010, at 12:41 PM, Schwedler, Benjamin wrote:
>>>>
>>>>
>>>>> A sysadmin for a cluster that I am working on has been trying to
>>>>> install
>>>>> PyNGL-1.3.1 and PyNIO-1.4.0. He was able to successfully build the
>>>>> packages, but upon importing Nio and Ngl, I receive the following
>>>>> errors:
>>>>>
>>>>> Python 2.5.2 (r252:60911, Apr 8 2010, 10:22:54)
>>>>> [GCC 4.4.0] on linux2
>>>>> Type "help", "copyright", "credits" or "license" for more information.
>>>>>
>>>>>>>> import numpy
>>>>>>>> numpy.__version__
>>>>>>>>
>>>>> '1.5.0.dev'
>>>>>
>>>>>>>> import Nio
>>>>>>>>
>>>>> Traceback (most recent call last):
>>>>> File "<stdin>", line 1, in<module>
>>>>> File
>>>>> "/apps/coates/Python-2.5.2/lib/python2.5/site-packages/PyNIO/Nio.py",
>>>>> line 63, in<module>
>>>>> from nio import *
>>>>> ImportError: libmfhdf.so.0: cannot open shared object file: No such
>>>>> file
>>>>> or directory
>>>>>
>>>>>>>> import Ngl
>>>>>>>>
>>>>> Traceback (most recent call last):
>>>>> File "<stdin>", line 1, in<module>
>>>>> File
>>>>> "/apps/coates/Python-2.5.2/lib/python2.5/site-packages/PyNGL/Ngl.py",
>>>>> line 38, in<module>
>>>>> import fplib
>>>>> ImportError:
>>>>> /apps/coates/Python-2.5.2/lib/python2.5/site-packages/PyNGL/fplib.so:
>>>>> undefined symbol: _gfortran_pow_r8_i4
>>>>>
>>>>> Builds were attempted with Python-2.5.2 with numpy-1.5.0dev and
>>>>> Python-2.6.5 with numpy-2.0.0dev
>>>>>
>>>>> $ uname -a
>>>>> Linuxcoates-fe02.rcac.purdue.edu <http://coates-fe02.rcac.purdue.edu> 2.6.18-194.3.1.el5rcac1 #1 SMP Sun
>>>>> May
>>>>> 16 16:03:15 EDT 2010 x86_64 x86_64 x86_64 GNU/Linux
>>>>>
>>>>> Are there any suggestions for how we may able to get around this?
>>>>> Since
>>>>> I didn't perform the installation myself, I don't know the exact
>>>>> versions of all libraries that were used, but I should be able to find
>>>>> that out.
>>>>>
>>>>> Cheers,
>>>>>
>>>>> Ben
>>>>>
>>>>> --
>>>>> Benjamin R. J. Schwedler
>>>>>
>>>>> _______________________________________________
>>>>> pyngl-talk mailing list
>>>>> List instructions, subscriber options, unsubscribe:
>>>>> http://mailman.ucar.edu/mailman/listinfo/pyngl-talk
>>>>>
>>>> _______________________________________________
>>>> pyngl-talk mailing list
>>>> List instructions, subscriber options, unsubscribe:
>>>> http://mailman.ucar.edu/mailman/listinfo/pyngl-talk
>>>>
>>>
>>>
>>
>> --
>> Benjamin R. J. Schwedler
>>
>

-- 
Benjamin R. J. Schwedler
Received on Fri Oct 1 19:32:20 2010

This archive was generated by hypermail 2.1.8 : Mon Oct 04 2010 - 09:57:00 MDT